RUЭВМ
Вы хотите отреагировать на этот пост ? Создайте аккаунт всего в несколько кликов или войдите на форум.
Апрель 2024
ПнВтСрЧтПтСбВс
1234567
891011121314
15161718192021
22232425262728
2930     

Календарь Календарь

Последние темы
» Вити больше нет!
автор bug19 Пн Фев 20 2023, 19:54

» Собираем оригинальный Орион 128
автор bug19 Пн Фев 20 2023, 19:47

» Проблема плющеного экрана ОРИОНА
автор kanzler Пн Ноя 28 2022, 12:05

» Орион 128 и его клоны возрождение 2019-2022 год
автор kanzler Пн Ноя 28 2022, 12:03

» Электроника КР-04. Информация, документы, фото.
автор kanzler Пн Ноя 28 2022, 12:02

» Новости форума
автор kanzler Пн Ноя 28 2022, 11:52

» Орион-128 НГМД запуск 2021 года
автор matrixplus Сб Сен 10 2022, 17:36

» ПЗУ F800 для РК86
автор ведущий_специалист Сб Сен 10 2022, 10:37

» Микропроцессорная лаборатория "Микролаб К580ИК80", УМК-80, УМПК-80 и др.
автор Электротехник Вт Июл 26 2022, 19:33

» Орион-128 SD карта в Орионе
автор matrixplus Чт Июн 02 2022, 09:00

» 7 Мая. День Радио!
автор Viktor2312 Чт Май 12 2022, 10:58

» Серия: Массовая радио библиотека. МРБ
автор Viktor2312 Ср Май 11 2022, 12:17

» Полезные книги
автор Viktor2312 Пн Май 09 2022, 15:07

» Орион 128 Стандарты портов и системной шины Х2
автор matrixplus Вс Май 08 2022, 23:08

» Орион-128 и Орион ПРО еще раз про блоки питания
автор matrixplus Вс Май 08 2022, 19:09

» Орион-128 Программаторы
автор matrixplus Вс Май 08 2022, 19:02

» Орион ПРО история сборки 2021 до 2022
автор matrixplus Вс Май 08 2022, 18:47

» Анонсы монет (New coin).
автор Viktor2312 Сб Май 07 2022, 23:11

» Хочу свой усилок для квартиры собрать не спеша
автор Viktor2312 Сб Май 07 2022, 19:33

» Амфитон 25у-002С
автор Viktor2312 Сб Май 07 2022, 09:38

» Майнер: T-Rex
автор Viktor2312 Вс Май 01 2022, 09:12

» GoWin. Изучение документации. SUG100-2.6E_Gowin Software User Guide. Среда разработки EDA.
автор Viktor2312 Пн Апр 25 2022, 01:01

» GoWin. Изучение документации. UG286-1.9.1E Gowin Clock User Guide.
автор Viktor2312 Сб Апр 23 2022, 18:22

» GoWin. Documentation Database. Device. GW2A.
автор Viktor2312 Ср Апр 20 2022, 14:08

» GOWIN AEC IP
автор Viktor2312 Ср Апр 20 2022, 12:08

Самые активные пользователи за месяц
Нет пользователей

Поиск
 
 

Результаты :
 


Rechercher Расширенный поиск


Обсуждение желаемых новодельных плат расширения и мелких усовершенствований базовых плат

Страница 1 из 2 1, 2  Следующий

Перейти вниз

Обсуждение желаемых новодельных плат расширения и мелких усовершенствований базовых плат Empty Обсуждение желаемых новодельных плат расширения и мелких усовершенствований базовых плат

Сообщение  barsik Пн Апр 15 2019, 19:13

1
Делать сейчас промышленным способом периферийные платы расширения для ИРИШИ вряд-ли возможно, в силу мизерного числа пользователей, имеющих реально работающую ИРИШИ.

Да это и не имеет особого смысла, т.к нужны, в основном, очень простые платки расширения, содержащие небольшое число микросхем, которые под силу самостоятельно (вручную с помощью ножовки и электропаяльника) изготовить любому не очень ленивому человеку. Крошечную платку на несколько корпусов нет смысла изготавливать заводским способом, к тому же самодельную платку можно постепенно расширять другими доработками. А более крупную по числу деталей промышленную плату можно сделать позднее, когда определятся все схемы мелких дополнительных узлов, что желательно добавить в ИРИШУ.

Речь в основном о двух наиболее насущных узлах. Это платка расширения ОЗУ, что работает не используя такты WAIT, что позволит прогонять программы из этого ОЗУ с максимальной скоростью, определяемой лишь тактом процессора, а также получить эл.диск. И о контроллере какого-ли внешнего привода, - дисковода, винчестера или немеханического накопителя на флэш-памяти.

Можно упомянуть ещё автоинкрементный иришин ROM-диск, но он относится к основному оборудованию и , естественно, у большинства пользователей ИРИШИ уже есть (к сожалению, покупателям плат ИРИШИ пришлось его паять вручную, как и плату клавиатуры, т.к выпуская несколько партий плат ИРИШИ эти платы просто забыли выпустить).

Платка расширения ОЗУ на 64К представляет собой узел дешифрации (выбирающий ОЗУ банки 1, т.е доступ в доп.ОЗУ разрешается при P0=1, P1=0), буфер (555АП6) и собственно ОЗУ. В качестве которого удобно использовать одну-две микросхемы статического ОЗУ по 32 кб в DIP-корпусе (например, 62256 или w24257). Можно применить и DIP-статику по 64 кб, но она 32-х ногая, - более распространённые панельки на 28 ног удобнее. Эти несколько микросхем можно спаять затратив всего около 3-х метров дорогого провода МГТФ-0.03 и примерно 15 грамм дорогого припоя ПОС-60. Если требуется более 64К, то схема будет намного сложнее, т.к придётся ввести дополнитльный диспетчер памяти.

Контроллер дисковода. На мой взгляд в качестве него стоит рассматривать лишь РК-КНГМД. Т.к чтобы использовать контроллер на ВГ93 или другой БИС у ИРИШИ не хватает своей скорости, отчего требуется применения ПДП, контроллер на ВГ93, тем более с ПДП  сложнее, а главное программирование сложнее, точнее надо писать драйвер с нуля, тогда как для РК-КНГМД всё уже есть). Но даже простой РК-КНГМД это уже достаточно сложное для ручной сборки изделие (содержит с иришиным интерфейсом ~14 микросхем) и не у всех хватит энтузиазма спаять это проводками.

Напротив, контроллер IDE-винчестера (или с ним совместимой по интерфейсу CF-карты) очень прост и обычно содержит всего 2 или 3 TTL-микросхемы.

Контроллера флэш-карты у ИРИШИ, похоже, не будет, т.к один человек, который мог бы это сделать отказался от покупки ИРИШИ. Пока забудем о флэш-карте. Возможно когда-нибудь я сумею "вытащить" из чужого аналогичного ПО подпрограммы низкого уровня и сделаю такой привод (речь конечно о варианте не с контроллером на Atmega или AVR, а о таком, где сам процессор 8-ми разрядки обслуживает флэш-карту, а контроллер это лишь интерфейс).

В качестве доработки плат, я пока имею ввиду только маленькую доработку на плате граф.адаптера. Тут в расмотрении две идеи. Первое это добавка в граф.адаптер второй страницы экрана. Две экранные страницы есть только в режиме 1. Но от этого немного толку, т.к две экранные страницы нужны только играм, которые используют режим 2 (цвет 320*200), в котором страница экрана только одна.

Две экранные страницы позволяют избавиться в играх от мерцаний спрайтов. В ZX-Spectrum с этим борются извращённо, программно отслеживая когда идёт бордюр и выводя спрайты только во время бордюра, что избавляет от мерцаний.

Для ИРИШИ такой метод не годится в силу низкой скорости и намного меньшей длительности бордюра. Да и вообще гораздо проще делать изменения с сколь угодно низкой скоростью на невидимом в данный момент экране, а потом мгновенно включать его отображение. Это позволяет двигать по экрану даже большие по размеру спрайты без мерцаний. Для ИРИШИ это особенно актуально, ввиду её низкого быстродействия  в цветном режиме.

Аппаратно это добавление однобитового порта. Т.к строб порта DB на плате уже есть, вся доработка "выливается" по расходу деталей всего лишь в триггер 155 ТМ2 и один вентиль ЛЛ1. Естественно, триггер будет стробирован кадровым бланком. Причём, ЛЛ1 ставить не придётся, т.к я его уже "откопал" на плате граф.адаптера. Т.е расход в один триггер избавляет ИРИШУ от мерцаний в играх.

Второй вариант доработки граф.адаптера заключается в установке 9-той 565 РУ5, дающей для каждого экранного байта лишний бит. Запись в этот бит будет автоматической из однобитового порта (принцип цвета Специалиста и Радуги). Вся доработка выливается в 3 микросхемы. ИРИША имеет 2 палитры, но сейчас лишь одна из них выбирается сразу на весь экран. Один новый бит как раз и позволит выбирать палитру для каждого экранного байта. Что даст на экране 7 цветов, хотя и с существенными ограничениями (возможна только одна общая палитра на 8 соседних точек). В любом случае это заметно улучшит цветовые возможности для игр.

Оба варианта доработки граф.адаптера достаточно просты для ручного монтажа. Но пока неизвестно, что нужнее. И это не значит, что я собираюсь это делать завтра. Это и понадобится мне не скоро. Да и то, лишь, если действительно понадобится. Написал, лишь чтобы самому не забыть и по нескольку раз не изобретать одно и то же.
barsik
barsik
Ветеран

Сообщения : 1032
Дата регистрации : 2016-11-10
Откуда : Россия

Вернуться к началу Перейти вниз

Обсуждение желаемых новодельных плат расширения и мелких усовершенствований базовых плат Empty .

Сообщение  barsik Ср Апр 17 2019, 01:50

2
Viktor2312 пишет:
barsik пишет:изготовители плат забыли выпустить плату клавиатуры, чем подвели пользователей

Плата клавиатуры, - о ней KokaF77 как-то не говорил
Тут нечего обижаться, не ошибается только тот, кто ничего не делает. И речь шла не только о Вас, но и втором источнике плат ИРИШИ MV1971.
Viktor2312 пишет:не все могут себе купить кнопки "черри"
Не понял как маленькая платка контроллера связана с типом клавиш, тут вроде, какие есть, те и применяй.

Другое дело, что в 21-м веке (когда PC-клавиатуры стоят копейки) делать самодельную клавиатуру и ещё паять для неё плату хотят только излишне фанатичные любители зацикленные на аутентичности и эту проблему более разумно было порешать с помощью простого контроллера на Atmega от caro, какой применяют для ОРИОНА, Вектора, РК86, Микроши, Специалиста и ZX. Для этого надо было уговорить автора сделать соответствующую прошивку.

Это ведь не сама ИРИША, а периферийный контроллер и как он сделан и на каком принципе никого не волнует, лишь бы выдавал ASCII-коды в точном соответствии с надписями на клавиатуре. Что кстати, для ИРИШИ и ОКЕАНА реализуемо, а для РК, Ориона и Специалиста нет, т.к там КОИ-7 и коды привязаны к конкретной клавише, а раскладка клавиш JCUKEN-ЙЦУКЕН, отличается от QWERTY-ЙЦУКЕН использованной на PC-клавиатуре (потому надписи на PC-клавиатуре не соответствуют).

Причём прошивка клавиатурного контроллера для ИРИШИ намного проще, т.к не нужна эмуляция матрицы (что надо делать очень быстро, для чего и нужен скоростной контроллер), а для ИРИШИ надо всего-лишь считать два последовательных байта от AT клавиатуры, по таблице пересчитать скэн-код в ASCII-байт и со сколь угодно медленной скоростью записать его в выходной порт, сопроводив сигналом /STB=0, и держать до тех пор пока от клавиатуры не придёт посылка об отпускании клавиши.

Кстати, PS/2 клавиатура с пяти-штырьковым разъёмом это абсолютно то же самое, что AT-клавиатура, лишь другой разъём, а вот клавиатура с USB-разъёмом это совсем иное.

Т.е для такой работы достаточно даже скорости 8-ми разрядки, не нужна Atmega на 50 МГЦ, что необходима для эмуляции матрицы контактов (там надо выдать в порт биты с матрицы за время прогона всего нескольких команд основного процессора, т.е от момента выдачи в ППА сканирующего нуля по вертикали до считывания процессором из ППА по горизонтали, - на это есть всего несколько микросекунд).

А для аппаратной клавиатуры скорость не играет роли, можно потратить на процедуру анализа и выдачи кода время в 1000 или 50 тысяч раз большее. Нам неважно если код пойдет не через 10 микросекунд, а через 10 миллисекунд или даже через 100 миллисекунд (т.е 0.1 секунды).

Потому, ещё в 90-тые для CP/M ВЕКТОРА подключили PC-клавиатуру для CP/M совсем без доп.железа, - написали маленькую программку-драйвер, что читает через ППА контакты с разъёма AT-клавиатуры. Получается подключение клавиатуры от PC без доп.железа. Но на выходе лишь ASCII-код, а не биты в порту эмулирующие матрицу, потому это и годится только для CP/M, а для игр и других не-CP/M программ всё-равно надо держать родную матрицу кнопок читаемую через ППА.

Но для практического применения такой вариант не устраивает, потому что, чтобы не пропадали нажатия, процессор должен очень часто проверять клавиатуру, - в CP/M это так, а в играх нет. Или же надо делать реакцию по прерываниям, что вообще морока.

Если бы у меня была потребность сделать клавиатуру для ИРИШИ... А это не так, т.к у меня аппаратных клавиатур хватает, - есть и от Apple-II+, неудобная  всего 56 клавиш, есть и от Apple-IIe, ~68 клавиш и есть венгерский Консул. Не чешский контактный, а очень качественный венгерский с вечными датчиками на эффекте Холла (датчик Холла как и геркон срабатывает от магнита, но нет контактов). Т.е можно пользоваться этой клавиатурой вечно, точнее до тех пор пока на неё не упадёт кирпич и не разрушит клавиши механически.

Так вот, отвлёкся, удобно сделать контроллер клавиатуры на 6802. Он удобнее Z80, т.к имеет внутри 128 байт ОЗУ, чего достаточно для стека и буфера, встроенный генератор и контроллер прерываний. Потому весь контроллер клавиатуры не считая процессора состоит из кварца, РФ2, ИР22 для вывода в ИРИШУ и ЛП10 в качестве порта ввода от PS/2 (доп.логика не нужна, т.к в качестве выборки - адреса). Скоростная Atmeg-а, STM32 или AVR не нужна для столь низкоскоростной задачи.

А если матрица качественных клавиш всё-же есть, то имеется ещё более простое решения. В ИРИШЕ есть ППА, хотя в нём свободен всего один порт из трёх. Можно подключить матричную клавиатуру 8*8 без расхода деталей, используя для ввода тот же буфер клавиатуры 589 ИР12 (порт 04). Кажется 589 ИР12 работает по уровню, а не по фронту, т.е может работать не как защёлка, а как просто буфер (если нет, т.е защёлкивает по фронту, то на его вход C можно подать меандр и будет работать как буфер).

Для обслуживания матричной клавиатуры 8*8, битами из ППА сканируем бегущим нулём матрицу по вертикали, а через 589 ИР12 (порт 04) читаем горизонталь матрицы. Т.о при желании очень просто ввести в ИРИШУ матричную клавиатуру 8*8 (вся работа заключается лишь в перепрошивке ПЗУ и подпайке проводов к разъёму матричной клавиатуры). По удачному совпадению РК86 как раз использует клавиатуру с матрицей 8*8. Но надо ещё как-то вводить 3 спец.клавиши (Control, Shift и RUSLAT). Для этого в ППА ИРИШИ найдётся 3 входа.

Матричная клавиатура возможна в ИРИШЕ потому, что в иришином ROM-BIOS клавиатуру обслуживают не на прерываниях. Думаю, что автор ROM-BIOS просто не захотел связываться с прерываниями, т.к сегменты памяти из-за экзотической архитектуры ИРИШИ в ходе работы программ всё-время переключаются и где тогда хранить обработчик прерываний, чтобы не было улёта. И к тому же это неудобнее для програмистов, когда при переходе в другую карту надо выключать прерывания.

А скорее всего, автор иришиного ROM-BIOS, как и многие, просто ненавидел прерывания, т.к предвидел, что пользователи ИРИШИ захотят слушать трехголосный музыкальный BOX от РК86, который скворчит как раз через выход INTE, что невозможно с прерываниями. Кстати жаль, что для него не написали муз.редактор, т.к вручную составлять партитуру неудобно.

Кстати, как я уже писал в теме про клавиши, пару месяцев назад контроллер PS/2-клавиатуры сделали для ОКЕАНА, а там такая же клавиатура как в ИРИШЕ и АГАТЕ.
Viktor2312 пишет:Модуль доп ОЗУ на К565РУ5 оригинал у вас есть? Схема, описание
Оригинала конечно нет. Но при РУ5-тых это всего лишь узел их регенерации с типовым интерфейсом ИРИШИ. Я и сам одно время хотел такое себе сделать (т.к была под рукой плата конструктива ИРИШИ с панельками для РУ5).

Но тут возможна проблема. Вроде бы, чтобы память на РУ5 имела прозрачный доступ (т.е всегда без WAIT для CPU), надо использовать сигнал клока F2 КР580. Похоже, что этот сигнал контроллер для регенерации ОЗУ (по крайней мере, тот, что я видел в зелёной книжке "Одноплатные микро-ЭВМ") использует, чтобы обеспечить процессору мгновенный доступ. Возможно именно поэтому авторы ИРИШИ вывели этот сигнал (под именем F2CLC) на магистраль.

А если стоИт другой процессор, например Z80, 8088, 6802 или 68008, то облом, - они с такой платой ОЗУ скорее всего работать не смогут. Получается плата ОЗУ с прозрачными РУ5 только для КР580. А вот если сделать плату 64К на статике, которой не нужна никакая регенерация, то и не возникает никаких проблем прозрачности.

Для любых процессоров конечно можно использовать РУ5-тые прозрачно, но надо думать о такой схеме, т.е её надо сначала разработать (срисовать из книги можно только для КР580, а для других CPU - неоткуда). Но может проблем и нет и для любого CPU легко получить прозрачность. Я просто не разбирался ещё в схеме контроллеров регенерации РУ5-тых и видел всего одну схему из зелёной книги, т.к Вы свою схему регенерации РУ5-тых не выложили.

Но даже несинхронная память с WAIT будет тормозить намного меньше, чем граф.адаптер в режиме 2 и 3. Граф.адаптер стопорит CPU зараз на 80 тактов (пока видеочасть выводит видимую часть строки). А тут недоступным будет только лишь каждый второй такт, как и в режиме 1. Это тормозит процессор немного, всего на 15%, а не на 50%, как в режимах 2,3. Так, что если КР580 тактировать 2.75 МГЦ, то реальное быстродействие программы в ОЗУ как раз и будет как у ВЕКТОРА и ОКЕАНА.

Более того, т.к шина асинхронная, то можно сделать частоту RAS-CAS максимально высокой (покуда ОЗУ не начнут сбоить и дохнуть от пререгрева), это ~4.5 МГЦ. А в граф.адаптере ОЗУ на 2 МГЦ. Значит торможение сократится более чем вдвое до 5-6%, что вполне приемлемо. И значит при тактировании КР580 тактом в 2.75...3.0 МГЦ получим самый быстрый отечественный комп на КР580, причём и на ОЗУ той эпохи.

Кстати, как выше упомянул, дополнительные 64К ставятся без всяких добавок, т.к это поддерживает родной диспетчер памяти ИРИШИ. При этом доп.банка включается в шину когда сигналы выбора банки P1,P0 выбирают банку 1. А вот, если надо добавить больше 64К, то надо добавлять второй диспетчер памяти, т.е нужен какой-то дополнительный механизм, который переключает страницы дополнительной памяти в одном из пустых окон неиспользуемых карт памяти 1 или 3.

- - - Добавлено - - -

Расширение ОЗУ стандартным путём, что даёт всего 64+64=128 кб, за счёт маленькой платки расширения ОЗУ (с одной или двумя панельками для w24512/w24257 или их аналогов) достаточно, чтобы поиметь на ИРИШЕ CP/M пригодную для любых программ (для чего достаточно TPA всего в 52-54 кб). Но в качестве привода при этом обязательно необходимо какое-то внешнее устройство типа дисковода/винчестера/контроллера microSD. Потому-что достижимый при такой архитектуре эл.диск из ОЗУ может иметь размер всего в 48 кб, что даже не смешно и достаточно лишь для ознакомления с CP/M.

По деталям проще всего сделать контроллер IDE-винта, это всего ППА ВВ55 плюс 3 TTL-микросхемы. Но IDE винт желателен антикварный 40-250 мб (мб не гб). Возможно и более современный IDE-винт будет работать, но я не уверен, что у всех современных винтов сохранён обмен с разрядностью по 8 бит (байтами), т.к это не используется уже с начала 90-тых.

У меня к сожалению есть только ненужные винты SATA/SATA2 на 250 и 750 гб, а из IDE есть только тормозной 40 мб винт от ноутбука из начала 90-тых. Обмен с ним происходит вдвое медленнее даже, чем с дисководом, к тому же он после 20 секунд простоя ради экономии электроэнергии нагло отключает вращение колеса, а при очередном обращении разгоняется ~3 секунды. Из-за этого с ним неудобно работать напрямую, а только с эл.диском, тогда рабочие файлы загружаются на эл.диск и уже с ними производится работа.

Потому мне нужен эл.диск не менее, чем на 128 кб. И как раз подумываю о изготовлении контроллера дисковода (конечно от РК86, для контроллера на ВГ93 у ИРИШИ не хватает скорости).

При расширении ОЗУ возникает вопрос куда его ставить. У ИРИШИ особая архитектура. Свободные дырки есть только в картах 1 и 3. Причём, если расширять ОЗУ так как рассчитывали авторы ИРИШИ, то и эти дырки исчезают, все становятся занятыми. Чтобы оставить систему расширяемой, как в плане увеличения ОЗУ, так и в плане подключения периферии, разумно расширять ОЗУ в карте 1, а карту 3 отдать для нужд периферии. Удобно прокачивать большое ОЗУ, например, на SIMM 1 мб, в единственном окне 4000...7FFF карты 1.

Контроллер дисковода по схеме РК-КНГМД в минимуме содержащий ~14 корпусов (вместе с ППА) на ИРИШЕ по скоростным причинам сможет работать или только в видео режиме 0 (когда быстродействие ~1.5 МГЦ, но экран крошечный) или в режиме 80 символов, но с погашенным на время доступа экраном (что ускоряет до 1.77 МГЦ) или же требуется обязательное наличие нетормозной памяти (в которой программа тоже прогоняется на скорости 1.77 МГЦ).

Если расширение ОЗУ ИРИШИ сделано на непрозрачных РУ5/РУ7 или SIMM, доступ к которым основан на разделении времени, т.е каждый чётный маш.такт доступен процессору, а каждый нечётный такт отдан узлу регенерации, то эффективное быстродействие при такте CPU в 1.77 МГЦ не превышает ~1.5 МГЦ. Этого, причём на пределе, хватит лишь для формата диска SD в 400 кб. Это ещё одна причина почему динамические ОЗУ невыгодны для расширения ОЗУ в ИРИШЕ, но увы крупнообъёмная статика непаябельна (планар с шагом 0.4 мм), а на мелкой DIP-статике, чтобы получить хотя бы 512 кб надо слишком много корпусов.

На плате РК-КНГМД стоит ПЗУ (кстати на плате РК-КНГМД Микроши версии 1.0 стояло не ПЗУ, а статическое ОЗУ, что конечно удобнее на стадии отладки). Если в эту панельку на 28 ног вставить 62256, плату РК-КНГМД включить в область I/O (подумываю об адресе $50), а 32К из 62256 включить в карте 1 (оставив два ока в карте 3 для расширений, например для платы текстового адаптера), то это снимает проблему наличия нетормозного ОЗУ необходимого для КНГМД. При этом к схеме РК-КНГМД добавляется узел логики выделяющий два окна для 62256 с 4000 и с C000 в карте 1 и типовой буфер шины ИРИШИ на 555АП6. Дополнительным плюсом РК-КНГМД является возможность читать дискеты от РК86. Т.о плата такая плата КНГМД одновременно даёт 32 кб безтормозного ОЗУ и дисковод при общем числе корпусов на плате ~18 штук.

А вариант большого (1 мб) расширения ОЗУ в окне базового диспетчера ОЗУ не реализовать в эмуляторе, т.к никто не знает как написать конфиг, чтобы один диспетчер ОЗУ работал в окне управляемом другим диспетчером ОЗУ, да и не ясно возможно ли это в эмуляторе вообще. Но это не особо важно, приведёт лишь к тому, что для эмулятора, т.е для отладки программ будет одна версия CP/M (не для реала, чисто для эмулятора), использующая базовый механизм коммутации в окнах управляемый портом С. А для реала будет другая версия с другим механизмом коммутации памяти. Для CP/M-программ не важно как сделан привод, будь то винт, флоп, эл.диск, перфоленточный или даже перфокарточный накопитель. Программам до этого нет дела, т.к к "железу" обращаются только драйверы нижнего уровня из CP/M-BIOS.

Аналоговый джойстик, что поддерживает ИРИША не нужен, т.к таких джойстиков в природе уже не существует, а вот контактный, т.е обычный джойстик от ZX-Spectrum разумно (т.к единственно возможно) подключать через порт А ППА. Это снимает проблему двойных нажатий в играх.

Т.к паять проводками, то лучше несколько меньших плат, чем одна огромная. Вырисовывается две самодельные периферийные платы средней сложности.

Одна - это 32 кб скоростного доп.ОЗУ на 62256 и на этой же плате РК-КНГМД, а также второе ППА ($40), куда уже внешне может подключаться ROM-диск, впрочем он при наличии дисковода или винта практически не нужен (никакие ROM-диски в ИРИШЕ не нужны, т.к холодный загрузчик прекрасно умещается в 2 кб, что свободны в ROM-BIOS). В этот же пользовательский ППА может подключаться и прошиватель УФ-ПЗУ типа 27xxx и прошиватель ПЗУ с проволочными перемычками типа 155РЕ3 и 556РТ4, хотя их менять в ИРИШЕ не требуется (можно, но разумнее сохранить исходный стандарт карт памяти).

Вторая плата - это большое тормозное ОЗУ на SIMM-30 в 1 мб (или даже 4 мб, в крайнем случае возможно несколько SIMM по 256 кб или 565РУ7, хотя столь мало ОЗУ намного проще сделать на статике). Для динамического ОЗУ необходим узел регенерации, что с интерфейсом магистрали составит ~10 TTL-корпусов. Скорость этого ОЗУ не важна, оно лишь для эл.диска, т.е нужно только для трансляции программ на ИРИШЕ или когда вообще нет внешних массовых приводов типа винта/флопа или флэша. На плате ещё останется много места куда можно в качестве 3-х канальной гуделки прицепить AY-8912 или ВИ53, а также ППА пользователя.

С двумя базовыми платами это всего будет 4 платы стандартного конструктива ИРИШИ. Конечно, если бы была возможность сделать промышленную плату, то две описанные платы для ручного монтажа без проблем уместятся на одной иришиной плате. Т.о при изготовлении корзины ИРИШИ разумно сразу предусмотреть, как минимум, наличие четырёх разъёмов мам ГРПМ-61 для установки плат.
barsik
barsik
Ветеран

Сообщения : 1032
Дата регистрации : 2016-11-10
Откуда : Россия

Вернуться к началу Перейти вниз

Обсуждение желаемых новодельных плат расширения и мелких усовершенствований базовых плат Empty .

Сообщение  barsik Ср Май 08 2019, 16:06

3
Viktor2312 пишет:предлагаю вам рассмотреть схему адаптера магнитофона, которая на рисунке 7.8 в красной книжечке, подумать, и высказать своё мнение по ней, что возможно там лишнее, то есть лучше не ставить, или что-то наоборот добавить, а если и отмакетируете, то вообще было бы супер
Делал я этот адаптер лет 30 назад. Похоже этот адаптер ничем не лучше других. Испытаний не проводил, но так разницы этого адаптера и адаптера от Специалиста (не оригинального эр-кашного, а с гистерезисом из 1988), не заметил.

Правда я использовал импортные кассеты и магнитофон ЯУЗА-220 с японской механникой, сендастовой головкой и идеально настроенный, чтоб писал 20...20, а при этом с любым МГ-адаптером всё прекрасно вводилось, не было вообще ни одного сбоя. Сбои пошли лишь много лет спустя, когда кассеты сдохли от времени. Можно судить о МГ-адаптере только, если провести испытания на осыпающихся советских кассетах на раздолбанном в хлам аппарате IV-класса с запиленной головкой.

Лучше применить МГ-адаптер на КМОП (что из схемы ZS Scorpion), он вводит ничуть не хуже других, но самый простой. Да и вообще МГ-адаптер в развитом изделии не нужен. Потому, что он нужен лишь как времянка, пока владелец не допаяет КНГМД, контроллер винта или флэша. А спаять одну КМОП-микросхему на картонке по силам каждому. Потому делать печ.плату отдельного МГ-адаптера не имеет особого смысла.

К тому же где крепить эту платку МГ-адаптера? Я крепил одним винтом параллельно основной плате на аллюминиевый параллепипид. Но на параллепипиды гораздо полезнее крепить не МГ-адаптер, а более крупную платку с размерами по ширине основной платы и глубиной 6-10 см. Куда легко умещается несколько гораздо более полезных узлов расширения. Например, кусочек в 8 или 32 кб бестормозной памяти в виде 6264 или 62256 (w24257), доп.ПЗУ в 32 кб, ППА ВВ55 для ROM-диска и адаптера винчестера.

Такая платка расширения подключаемая в локальную магистраль выгоднее, чем полноразмерная плата конструктива ИРИШИ втыкаемая в разъём системной магистрали. Маленькую платку легче спаять, к тому же на плате подключаемой в локальную магистраль отпадает логика (дешифратор В/У, формирователь сигналов /RD, /WR, Ready и буферы).

МГ-адаптер в оригинале крепится на параллепипиды на плате ЦП. Также монтируется и иришин ROM-диск, потому что иришин ROM-диск на другую плату не поместить (без соотв.коррекций на плате ЦП), - он рассчитан на локальную шину, его чип-селект не выходит на магистраль и при его выборе буфера магистрали не открываются.

Потому для фаната полной аутентичности иришин ROM-диск разумно объединить как раз с МГ-адаптером. А также желательно с узлом доп.ПЗУ. А возможно даже и с узлом замены CPU на Z80 или 8088 (хотя короче тянуть косу от панельки CPU к платке закреплённой на винтах разъёма ГРПМ-61).

Такая плата делается в виде второэтажной платки монтируемой на плате ЦП на параллепипидах. Узел для доп.ПЗУ это всего-лишь пара TTL-корпусов, но это позволяет ставить всего одну 27256 (или даже две) вместо двух 2764 в одну из панелек для ПЗУ на основной плате и иметь 32 (64) кб ПЗУ вместо 16. Аппаратно расширение ПЗУ до 64К это раза в 3 меньше деталей, чем иришин ROM-диск, но требует применения чуть модернизированного ROM-BIOS.

А на дополнительной плате ИРИШИ (по сути мультикарте) разумно разместить КНГМД (15 корпусов), КНЖМД (он с ППА), доп.ОЗУ 64/128/256К на w24257/w24512 (или 565 РУ7), возможно одно ПЗУ 32К, ППА пользователя и AY-8912 (или 580ВИ53), чтобы скворчало на три канала. Это сделает ИРИШУ полноценной машиной.
Viktor2312 пишет:я уже подумываю о разработки печатной платы ver 2.0 для ПЭВМ "Ириша-М", но я хочу все блокировочные и другие конденсаторы заменить на SMD и все резисторы
Смысла не вижу в SMD-конденсаторах. Блокиравочные конденсаторы никак не влияют на размер печ.платы и замена на SMD даёт не выигрыш, а создаёт кучу неудобств.

Радиолюбители же не завод, где есть запасы SMD-деталей. Напротив, у всех есть запасы именно обычных деталей. Например, у меня, как и у всех есть запас блокировочных емкостей по питанию (0.1 мкф = 104). А SMD-резисторы в радиолюбительстве это вообще бред, они слишком маленькие.

Не знаю чего вы там замыслили за вариант 2.0, но если бы я делал платы, то оставил бы только то, что реально надо любителю. На плату ЦП напихано всякой ерунды, что может и имеет смысл для автоматизации хим.экспериментов и управления станками ЧПУ, но совершенно бесполезно на любительской машине.

На любительской машине нужно лишь то, что требуется для игр. Плюс ППА пользователя для подключения периферии пользователя (в основном это лишь прошиватель УФ-ПЗУ и РПЗУ с пережигаемыми перемычками). Потому на новодельной плате ЦП ИРИШИ я бы выкинул ВН59, 1006ВИ1, 2*КП13, 2*АП26, ИЕ10, ЛА13 и еще кучу сопутствующей логики. Буфера процессора в локальной магистрали я бы тоже убрал, а древние буфера магистрали заменил бы всего на три 1533АП6.

Вместо 2-х панелек для ПЗУ 2764 оставил бы только одну, но зато для 27256. Все 3 канала ВИ53 на звук. ВВ51 нужно оставить, но использовать не для МГ-адаптера, а для подключения мыши. И естественно, вместо ГФ24+КР580+ВК28+3*ЛП10 поставил бы всего один Z80. В итоге освободилось бы от половины до 2/3 печ.платы ЦП. И на это освободившееся место прекрасно бы встали 128 кб ОЗУ на w24257, ППА пользователя с разъёмом наружу и контроллер винта.

Такая машина останется ИРИШЕЙ, потому что главное, что программно отличает ИРИШУ, это её диспетчер памяти, её ROM-BIOS, её структура экрана в 3-х видео режимах, апп.клавиатура и номера портов. А это не меняется. Убираются только бесполезные компоненты, которые даже ROM-BIOS-ом не поддержаны, а программ эти узлы использующих не существует и их появление не ожидается.

- - - Добавлено - - -

В базовой конфигурации (магнитофонной и тормозной) ИРИША имеет мало смысла. Для получения DOS, возникает необходимость в трёх доп.платах. Как минимум в одной из трёх.

- Для системной магистрали. Полноразмерная плата КНГМД по типовой схеме (естественно имеющая 32/64К бестормозного ОЗУ, т.к без него КНГМД на ИРИШЕ вообще работать не может). При клоке КР580 в 1.77 МГЦ возможен только формат SD (Single Density, т.е 360 или 400 кб на дискету). Для формата DD такт процессора д.быть не менее 2.5 МГЦ.

- Для системной магистрали. Полноразмерная плата расширения ОЗУ на 128/256 кб. На статическом ОЗУ, например в виде 4-х или 8-ми панелек для установки w24257 (они компактнее, чем 62256). Или в виде платы динамического ОЗУ на 565 РУ7 со своим узлом регенерации. В случае 565 РУ7 не обязательно бестормозной вариант, можно и с торможением (желательно не более, чем на 15%). Важно лишь, чтобы это торможение было постоянным и за цикл доступа к ОЗУ вводилось бы не более двух тактов WAIT (тогда это не мешает работать в реальном времени).

- Для локальной магистрали. Маленькая плата монтируемая на параллепипиды вторым этажом. Адаптер IDE-винчестера на базе ВВ55. И желательно на этой же ВВ55 (но можно и на второй ВВ55) традиционный ROM-диск из одной или двух 27256, аналогичный ROM-диску ОРИОНА и РК86. На этой же платке может стоять панелька для w24257 включаемая в окне ПЗУ, что вдвое ускорит обмен с винчестером. Для работы винчестера это не обязательно, т.к он имеет свой буфер и потому работает несинхронно, позволяя обмен с медленной скоростью.

Наличие даже всего 32 кб бестормозной памяти не только ускоряет вдвое обмен с винтом и работу программ. Но и, в случае использования CP/M, позволяет увеличить TPA с 39 кб до 59 кб, что кардинально меняет её полезность (малое TPA даёт только файловую систему, т.е возможность хранить файлы, а большое TPA позволяет использовать программы CP/M). Естественно, если одновременно есть плата доп.ОЗУ в системной магистрали, то наличие доп.ОЗУ на плате адаптера IDE-винта не требуется. Но если КНГМД и платы доп.ОЗУ не будет и аппарат должен работать только с винтом, то доп.ОЗУ нужно.

Удобно, что можно сделать не все 3 эти платы. Наличие только одной любой из этих 3-х плат позволяет иметь DOS и начать полноценно пользоваться компьютером. Теоретически обе платы для сист.магистрали можно объединить в одну. Но легче сделать две более мелких платы, чем одну большую и сложную (как сделали авторы ИРИШИ).

Выгодно сделать так, чтобы плата доп.ОЗУ в 256К использовала только карту 1. А КНГМД использовало только карту 3. Тогда они не будут мешать друг другу, т.е будут независимы. А плата для локальной магистрали тоже независима, т.к она работает через порты, а если имеет расширение ОЗУ, то не за счёт карты памяти (а в 16-ти килобайтовом окне ПЗУ).

И намечается ещё одна плата. Т.к желательно как-то ввести ещё 2 узла. Это адаптер мыши и адаптер клавиатуры от IBM PC (т.е XT/AT или PS/2 клавиатура, пятиштырьковые, т.е не с USB-разъёмом). Если в качестве процессора на этой плате применить Z80, то он сможет принимать сигналы от клавиатуры и одновременно обслуживать мышь, выдавая результаты на свободный порт базового ППА. Причём не используя ВВ51, - скорости отдельного Z80 хватит для программного чтения последовательной линии.
barsik
barsik
Ветеран

Сообщения : 1032
Дата регистрации : 2016-11-10
Откуда : Россия

Вернуться к началу Перейти вниз

Обсуждение желаемых новодельных плат расширения и мелких усовершенствований базовых плат Empty Расчёт быстродействия ИРИШИ в разных видео режимах

Сообщение  barsik Вс Июл 12 2020, 20:31

4
Считается, что ИРИША не очень быстрый компьютер. Для меня это в общем-то неважно, т.к я и не собирался использовать ИРИШУ в базовой конфигурации. Потому что ИРИША без доп.ОЗУ для меня слишком тормозная. В ней процессор работает с эффективным тактом лишь ~1.5 МГЦ в видео режиме 1 и менее 1 МГЦ в видео режимах 2 и 3.

Если любым способом добавить в ИРИШУ бестормозное ОЗУ (т.е любое ОЗУ вне платы граф.адаптера), то скорость программы работающей из этого ОЗУ будет ровно 1.78 МГЦ. А если при этом поставить свой кварц 16 МГЦ на плату граф.адаптера и на плате микропроцессора заменить кварц 16 МГЦ на 22.5 МГЦ, то реальное быстродействие достигнет аж 2.5 МГЦ (что согласно РТМ может вытянуть процессор КР580).

Ранее я уже высказывался, что по моим грубым визуальным оценкам ИРИША в режиме 1 выдаёт реальный такт не менее ~1.5 МГЦ. Это можно подтвердить и теоретически. Хотя точный арифметический расчёт быстродействия в этом режиме невозможен, но грубо можно прикинуть так.

В видео режиме 1 процессору для доступа в ОЗУ во время вывода растра доступен только каждый нечётный маш.такт частоты 2 МГЦ. Ситуация ещё усугубляется тем, что CPU и видео адаптер работают несинхронно, т.к идиотический 580 ГФ24 делит частоту кварца на 9, а не на 8. Из-за этого без использования высшей математики (интегрального исчисления и теории вероятности) невозможно точно рассчитать быстродействие. И не только потому, что для этого уже недостаточно знаний арифметики на уровне второго класса средней школы, а потому, что расчёт возможен лишь для конкретного кода программы (т.к для разных программ будет отличающийся результат) и конкретной начальной фазы между клоком CPU и частотой тактирования ОЗУ.

Из-за 580 ГФ24 лишь каждый 9-тый цикл обращения к ОЗУ совпадает с фронтом клока с которым работает ОЗУ. А т.к каждый нечётный цикл недоступен, это значит, что в среднем только один доступ из 18 произойдёт без введения хотя бы одного такта WAIT. Грубо можно считать, что из-за несинхронности клоков в среднем не одно обращение из двух происходит с WAIT-ом (что было бы в синхронной системе), а практически каждое обращение к ОЗУ происходит с WAIT-ом.

Из опыта других машин можно оценить, что при одном такте WAIT программа работающая в таком ОЗУ тормозится примерно на 29%. Но WAIT-ы вводятся лишь на время вывода растра, т.е торможение происходит в течение 40 мкс из каждых 64 мкс, т.е 37.5% времени. Таким образом в режиме 1 часть общего времени в 200/312= 0.64 процессор тормозится на 29%. А во время обратного хода луча по кадрам (что составляет 0.36 от общего времени) процессор скворчит уже на полной скорости в 1.78 МГЦ (на самом деле ниже, т.е скорости в 1.78 МГЦ программа в ОЗУ граф.адаптера не достигает никогда, т.к ОЗУ несинхронное). Таким образом реальное быстродействие в режиме 1 будет в лучшем случае:

(1.78*0.71*0.625 + 0.375*1.78)*0.64 + 0.36*1.78 = 1.57 МГЦ

На самом деле скорость ниже потому что доступы к ОЗУ несинхронны. Что соответствует моим грубым оценкам быстродействия ИРИШИ. Хотя это тоже очень грубая арифметическая прикидка и явно завышенная (т.к ОЗУ на 2 МГЦ, а CPU на 1.77, то отдельные обращения будут с 2-мя тактами WAIT).

А вот в видео режимах 2 и 3 быстродействие можно посчитать уже более точно. В этих режимах процессор во время вывода растра за каждые 64 мкс полностью останавливается на 40 мкс, т.е скорость прогона падает до (64-40)/64= 37.5% и не зависит от кода программы и сочетания фаз. Во время обратного хода луча по кадрам торможений нет. И общую скорость подсчитать легко:

1.78*0.375*0.64 + 1.78*0.36= 1.07 МГЦ

На самом деле скорость ниже потому что доступы к ОЗУ несинхронны. Реальный такт в ~1 МГЦ согласуется с моей грубой оценкой по тестам в реале.

Т.о можно сказать, что ИРИША в базовом варианте обладает полноценной ламповостью и тем возможно кому-то привлекательна. Но для практического применения её скорости мало. Например, чётко ясно, что написать для базовой ИРИШИ игру "Принц Персии" и GUI файловый менеджер практически невозможно (если это сделать, то будет неприемлемо тормознуто). Для их работы необходимо повышение реального быстродействия, как минимум, до 3 МГЦ. А это практически достижимо только с процессором Z80 и при введении бестормозного ОЗУ.
barsik
barsik
Ветеран

Сообщения : 1032
Дата регистрации : 2016-11-10
Откуда : Россия

Вернуться к началу Перейти вниз

Обсуждение желаемых новодельных плат расширения и мелких усовершенствований базовых плат Empty .

Сообщение  barsik Чт Ноя 19 2020, 09:30

5
Процессор Z80 сделан на 3 года позже и потому настолько лучше примитивного микропроцессора 8080, что его можно не только использовать для видеовывода (и не только так, как это в ZX80, а и за счёт 4-х тактового /RFSH в режиме HALT), но и он может заменить микросхему контроллера регенерации динамической памяти (были такие контроллеры, хотя сейчас такие 8-ми разрядные контроллеры очень редки и достать их проблема).

Оказывается в этом шикарном микропроцессоре присутствует даже узел автоматической регенерации динамических ОЗУ. Это свойство делает ненужной громоздкую схему (в ~15 корпусов) со счётчиками для регенерации, что приходится городить для примитивных микропроцессоров первой волны типа 8080, 6800 и 6502 при применении с ними динамических ОЗУ.

Можно подумать о том, возможно ли, используя Z80 в качестве контроллера регенерации, сделать плату расширения ОЗУ в ИРИШЕ на SIMM-30. Для ИРИШИ достаточно SIMM-30 на 1 мебибайт. Z80 в режиме HALT перебирает 8 раз по 128 адресов всего за 4096 периодов своего клока. При Z80 на клоке в 4 МГЦ период регенерации будет 1.024 мс, что намного меньше, чем максимально допустимый период регенерации SIMM-30 в 8 мс.

В самом простом варианте на 64 кибибайта на платке д.быть лишь Z80, два мультиплексора 555 КП11, банка 565РУ5, 3 буфера на ШД и ША отделяющую Z80 от магистрали и пара корпусов логики для организации режима DMA. Если же это будет контроллер для 565 РУ7 или SIMM на 256 кибибайтов, то понадобятся ещё два бита регенерации и ещё один мультиплексор 555 КП11, т.к у них вектор регенерации не 7-ми битовый, а 9-ти битовый. Для выигрыша недостающих двух доп.битов в адресе регенерации достаточно одного корпуса 561ИЕ10. На входе счётчика - сигнал /RFSH с частотой в четверть клока (а такт 1 МГЦ счётчик КМОП 561-й серии потянет). А у одно-меби-байтовых DRAM вектор регенерации уже 10-ти битовый, но доп.деталей для SIMM в 1 мебибайт не потребуется, т.к у 561 ИЕ10 более низкочастотных выходов ещё хватает.

В такой концепции есть лишь проблема как перевести Z80 в режим HALT. Для этого ему надо на ШД как-то подсунуть код 76. Для доступа к ОЗУ основного процессора, понадобится регистр для хранения адресов A16...A18, т.к 8-ми разрядный основной процессор может формировать только адреса A0...A15. Доступ основного процессора будет происходить в режиме захвата шины Z80. При обращении в ОЗУ контроллера со стороны КР580, он переводится в WAIT и ждёт когда Z80 освободит шину и выдаст сигнал HLDA, что открывает буфера на магистраль и по очередному фронту CLK сбрасывается сигнал READY и по его сбросу буфера закрываются (не ожидая исчезновения HLDA).

Для инициализации регенерирующего Z80, основной процессор должен записать в ОЗУ на адрес 0 код 76 и выдать сигнал сброса Z80. После чего Z80 начнёт прогон программы с адреса 0 и уйдёт в режим HALT с запрещёнными прерываниями и будет только регенерировать ОЗУ. Можно ввести в схему буфер/регистр с Z-выходом открывающийся по чтению в Z80 и выставляющий на его ШД код 76, - тогда инициализация внешним CPU отпадает.

Есть ещё один вариант. В нём Z80 работает как интеллектуальный контроллер ОЗУ и имеет своё ПЗУ с программой. Но с таким контроллером обмен с эл.диском намного медленнее и деталей больше. Тогда основной КР580 записывает нужный адрес ОЗУ в регистры ППА и пишет и получает данные через него.

Если Вы запутались в фашистском новоязе, который стандартизовали американцы и сейчас навязывают всем остальным народам, то держите под рукой табличку:

Обсуждение желаемых новодельных плат расширения и мелких усовершенствований базовых плат Bib.1605769879


Последний раз редактировалось: barsik (Пт Ноя 20 2020, 03:08), всего редактировалось 2 раз(а) (Обоснование : дополнил идеей про регистр с кодом 76)
barsik
barsik
Ветеран

Сообщения : 1032
Дата регистрации : 2016-11-10
Откуда : Россия

Вернуться к началу Перейти вниз

Обсуждение желаемых новодельных плат расширения и мелких усовершенствований базовых плат Empty Re: Обсуждение желаемых новодельных плат расширения и мелких усовершенствований базовых плат

Сообщение  RN1TZ Чт Ноя 19 2020, 14:33

6
barsik пишет:
Можно подумать о том, возможно ли используя Z80 в качестве контроллера регенерации
Всё давно придумано за нас. Брем КР580ВТ37 настраиваем канал 0 в режим память то память, даже ненужно будет защелкивать старшие разряды адреса. Контроллер ПДП будет цыклически запрашивать доступ к шине с заданной периодичностью и выполнять чтения из памяти и запись в тоже место памяти. К тому же все микросхемы динамического ОЗУ сами себя регенерируют путём подачи сигнала CAS перед RAS.
Примерами гугол набит битком.

RN1TZ
Новичок

Сообщения : 36
Дата регистрации : 2018-06-29
Возраст : 55

Вернуться к началу Перейти вниз

Обсуждение желаемых новодельных плат расширения и мелких усовершенствований базовых плат Empty правильно писать "убиваем микробов"

Сообщение  barsik Чт Ноя 19 2020, 16:41

7
RN1TZ пишет:микросхемы динамического ОЗУ сами себя регенерируют путём подачи сигнала CAS перед RAS
565 РУ5 и 565 РУ7 такое имеют? Наверно схема регенерации SIMM по варианту "CAS before RAS" получится попроще. Подождём пока Виктор её разработает в плате ОЗУ для своего изделия.
RN1TZ пишет:
barsik пишет:возможно ли используя Z80 в качестве контроллера регенерации

Всё давно придумано за нас. Берём КР580 ВТ37
1810 ВТ37 для этого не обязателен. Достаточно и 580 ВТ57. Принцип регенерации ОЗУ с помощью ПДП использовался в PC XT и тормозил прогон. А в PC выпускаемых в первые пару лет с 1981 (тех, что ещё не XT, а с всего 5-ю слотами) стояли даже не ёмкие 4164, а малоёмкие 4116, - кстати, набортного ОЗУ в PC было всего 64 кибибайта и всем пользователям для ROM-бейсика этого хватало (а при нужде ещё 256 кибибайтов добавлялось как-то).

Но получится ли при использовании ПДП меньше деталей? Зато прозрачность ОЗУ из-за ПДП будет намного меньшей, т.к если идёт цикл ПДП основной процессор аж на целую миллисекунду лишён доступа в память. Ведь это в PC для регенерации было достаточно считать лишь 128 ячеек. А здесь, т.к вектор регенерации 10, а не 7, надо считывать 4096 ячеек не реже одного раза за 8 мс (при SIMM на 256 кибибайтов нужно лишь 1024 считывания, зато всего за 4 мс).

ПДП при перекачке ОЗУ--В/У тратит те же 4 такта на байт, что и Z80 по /RFSH в HALT (а при перекачке ОЗУ--ОЗУ ещё больше). Три буфера на магистраль всё-равно остаются, мультиплексоров будет возможно даже 5, а не три, инициализация также остаётся. Типовой иришин интерфейс с моторолловской магистралью тоже остаётся. ВТ37 или ВТ57 сейчас не более доступнее, чем Z80.

Экономим только на 561 ИЕ10, но она не такая уж дорогая и дефицитная, чтобы трахаться с ПДП (слышал, что БИС ПДП очень сложно программируются, потому держусь от них подальше). Иное дело, если бы ПДП уже была на плате ИРИШИ, как в РК86. Мне это актуально, т.к у меня лежат несколько SIMM-30 (6 шт. на 256 кибибайтов и даже две штуки по 1 мебибайту, есть и куча 72-х ногих, но их применить сложнее). Хотелось бы как нибудь их применить в ИРИШЕ или хотя бы в РК86. А тратить сверхценные 20-ти наносекундные w24257 (вытащенные из кэшей 486-тых маток) на эл.диск очень жалко.

PS. Как правильно писать: не хватает кибибайтов или не хватает кибибайт? [то, что не хватало ума тем, кто придумал кибибайты и так ясно]

При социализме правильно было писать "не хватает байтов", но безграмотные популяризаторы новояза, которые нагло прописали себя в интернетных сайтах отвечающих на вопросы про правописание и правила русского языка, в XXI-веке вдруг стали утверждать, что правильно писать "не хватает байт", что означает, что они, - или сознательные враги из пятой колонны, щедро оплачиваемые ЦРУ (и стремящиеся довести число падежей в русском языке до двух, как это в английском), или они реально настолько безграмотны.

микробы достали:


Последний раз редактировалось: barsik (Чт Ноя 19 2020, 17:05), всего редактировалось 1 раз(а)
barsik
barsik
Ветеран

Сообщения : 1032
Дата регистрации : 2016-11-10
Откуда : Россия

Вернуться к началу Перейти вниз

Обсуждение желаемых новодельных плат расширения и мелких усовершенствований базовых плат Empty .

Сообщение  Viktor2312 Чт Ноя 19 2020, 16:59

8
Подождём пока Виктор её разработает в плате ОЗУ для своего изделия.

У меня схема вообще-то работает, и она не только в виде схемы не проверенное, но и в железе и на заводской плате. Просто банк памяти на 64 Кбайта с прозрачным доступом для CPU.

Я там с дуру поставил 2 К155ИЕ5, вот подумываю о замене на К555ИЕ20, и как следствие уменьшении схемы на 1 корпус. Но потом, потом, сейчас у меня мозг в режиме "ПЕРЕЗАГРУЗКА"...

Короче для CPU это выглядит как микросхема статического ОЗУ.

Viktor2312
RIP

Сообщения : 15492
Дата регистрации : 2012-08-10
Возраст : 45
Откуда : Пятигорск

Вернуться к началу Перейти вниз

Обсуждение желаемых новодельных плат расширения и мелких усовершенствований базовых плат Empty Re: Обсуждение желаемых новодельных плат расширения и мелких усовершенствований базовых плат

Сообщение  Viktor2312 Чт Ноя 19 2020, 17:18

9
64 кибибайта

Чё ? Пишите пожалуйста на нашем родном языке, языке планеты, ой а на какой я планете, ааа кажется Земля...

Я просто не могу понять, о каком объёме идёт речь. Это 64 Кбайта, или биби-чего там это Мбайты, Ну в общим будем считать, что там было 64 Мбайта памяти, иначе расшифровать/перевести не могу.

Отлично, запомните все, там было 64 Мбайта памяти !

Барсик, давайте вы прекратите страдать хреновиной, и альцгеймером, про эти биби си-чего-то там, а то тяжко, это читать... Не я понимаю, что у вас возраст. Что в таком возрасте, если захотел в туалет, то это значит только одно. Что ты уже сходил. Ну давайте как-то посерьёзнее...

(в ~15 корпусов)

Вообще-то 6 и даже останется свободными два элемента 2И-НЕ, ну да ладно...

Ща намулюю, если вспомню...

Короче ручка нифига не пишет, издохла она, но вот так как-то:
Спойлер:

Ну там ИР1, потом ТМ2, вокруг 2И-НЕ (ЛА3), и две ИЕ5 лучше 1 ИД20. перебор адресов при регенерации...

/RAS тоже через резюк 31...33 Ом, это для согласования ТТЛ уровней с К565 серией...
И у второго D-триггера вход сброса соединить с первым...
По хорошему и входы установки у D-триггеров соединить с +5 В для К555, КР1533 серии, при К155, ясен перец, через резюк в 1 кОм.


Последний раз редактировалось: Viktor2312 (Чт Ноя 19 2020, 18:27), всего редактировалось 5 раз(а)

Viktor2312
RIP

Сообщения : 15492
Дата регистрации : 2012-08-10
Возраст : 45
Откуда : Пятигорск

Вернуться к началу Перейти вниз

Обсуждение желаемых новодельных плат расширения и мелких усовершенствований базовых плат Empty .

Сообщение  barsik Чт Ноя 19 2020, 17:56

10
Ну проснулись! Вы опоздали на 20 лет.

Вы что серъёзно ещё не знаете, что уже более 20-ти лет префикс кило в компьютерной технике в указании размеров двоичных чисел вне закона. Приставка кило больше не может применяться для этих целей. Поезд ушёл.

Читайте здесь https://ru.qaz.wiki/wiki/Kibibyte и наслаждайтесь американским новоязом. Вот история "timeline". Возмущаться и цепляться за старое теперь бесполезно - что-то можно было исправить лишь пристрелив Горбачёва в 1989 году (когда стало очевидно, что он предатель и работает на врагов), а теперь поздно возмущаться плодами.

Это видимо про Вас написано в переводной Вике: "Несмотря на формальное принятие кибибайта, килобайт по-прежнему используется для обозначения 1024 байтов на жаргоне вычислительной техники." Так что Вам уже давно пора прекратить пользоваться ставшими теперь жаргонными устаревшими терминами (типа килобайт, мегабайт и т.д.) и переходить на официально утверждённую МЭК терминологию.

Наша страна тоже одобрила решение Международной электротехнической комиссии (МЭК) 1998 года о запрете слова килобайт и производных. Та что всё. Забудьте, поезд ушёл. Умолять вернуть народу префикс "кило" - теперь бесполезно, ни одно правительство не осмелится пойти против американцев.

Вот такой уж идиотизм победил в мире империализма. Хотя, блин, каждому, кто хоть чуть знает про компьютеры ясно, что если упоминаются байты, то речь точно о двоичном исчислении размеров и потому никаких проблем это не вызывало. Но почти слабоумным от старости профессорам и академикам надо же было как-то оправдать свой высокий оклад в Академии Наук (ну или что-там у них в США заместо этого). Вот для этого они и придумывают всякую гадость и навязывают её людям.

Или надо говорить, что адресное пространство микропроцессора 8080 составляет 65 килобайтов и 536 байтов.
barsik
barsik
Ветеран

Сообщения : 1032
Дата регистрации : 2016-11-10
Откуда : Россия

Вернуться к началу Перейти вниз

Обсуждение желаемых новодельных плат расширения и мелких усовершенствований базовых плат Empty Re: Обсуждение желаемых новодельных плат расширения и мелких усовершенствований базовых плат

Сообщение  Viktor2312 Чт Ноя 19 2020, 18:17

11
Вы что серъёзно ещё не знаете...

Не не не, это уже без меня, у меня есть Байт, состоит из 8 бит -> 00000000  младший справа. 1024 их будет Кбайт, и так далее Мбайт, Гбайт. Там всё просто:
... .д. т. и...297+...+22+21+20

Или надо говорить, что адресное пространство микропроцессора 8080 составляет 65 килобайтов и 536 байтов.

Говорить можно как угодно.
Но если не впадать в маразм, про 65536 байт, от 0000H до FFFFH или 0х0000 до 0хFFFF, то 64 Кбайта...

Viktor2312
RIP

Сообщения : 15492
Дата регистрации : 2012-08-10
Возраст : 45
Откуда : Пятигорск

Вернуться к началу Перейти вниз

Обсуждение желаемых новодельных плат расширения и мелких усовершенствований базовых плат Empty .

Сообщение  barsik Чт Ноя 19 2020, 18:23

12
Возвращаясь к теме использования Z80 в качестве DRAM-контроллера. Сообразил, что не требуется его инициализировать извне (основным процессором системы), а достаточно ввести в схему регистр/буфер с Z-выходом, который по сигналу /RD=0 будет выдавать в шину данных процессора Z80 код $76, отчего он по сбросу исполнит лишь одну команду и встанет. Причём любопытно, что для индикации режима HALT в микропроцессоре Z80 предусмотрен специальный выход (не зря же его сделали).

А как некоторые хорошо разбирающиеся в технике уже возможно знают, HEX-число $76 в двоичном виде - это 0111.0110. Таким образом для выдачи на ШД трёх нулей достаточно дополнить схему трёхразрядным буфером, а единицы на шине формировать резисторами привязки. Тогда Z80 по общему сбросу системы автоматически введётся в останов и превратится в полноценный контроллер динамической памяти.


Последний раз редактировалось: barsik (Чт Ноя 19 2020, 18:39), всего редактировалось 1 раз(а)
barsik
barsik
Ветеран

Сообщения : 1032
Дата регистрации : 2016-11-10
Откуда : Россия

Вернуться к началу Перейти вниз

Обсуждение желаемых новодельных плат расширения и мелких усовершенствований базовых плат Empty .

Сообщение  Viktor2312 Чт Ноя 19 2020, 18:33

13
Международной электротехнической комиссии (МЭК)

Благодаря вхождению в эту же международную комиссию, кстати, мы и получили всю документацию на i8080 микропроцессорный комплект, для выпуска всей серии в кротчайшие сроки. Это было связано с обеспечением безопасности в атомной промышленности, многие рассказывают сказки, про спец. слубы, ну да пусть верят, но есть офф. документы, где чёрным по белому всё написано и участвовало там более 20 стран, но изготавливать тогда могли только две США и СССР...

Естественно это было не бесплатно и была заплачена энная кругленькая сумма, но тем не менее...

Возвращаясь к теме использования Z80 в качестве DRAM-контроллера. Сообразил, что не требуется его инициализировать извне (основным процессором системы), а достаточно ввести в схему регистр/буфер с Z-выходом, который по сигналу /RD=0 будет выдавать в шину данных процессора Z80 код $76, отчего он по сбросу исполнит лишь одну команду и встанет. Причём любопытно, что для индикации режима HALT в микропроцессоре Z80 предусмотрен специальный выход (не зря же его сделали).

Выведем светодиодик на переднюю панель, для индикации.


Последний раз редактировалось: Viktor2312 (Чт Ноя 19 2020, 18:40), всего редактировалось 1 раз(а)

Viktor2312
RIP

Сообщения : 15492
Дата регистрации : 2012-08-10
Возраст : 45
Откуда : Пятигорск

Вернуться к началу Перейти вниз

Обсуждение желаемых новодельных плат расширения и мелких усовершенствований базовых плат Empty Re: Обсуждение желаемых новодельных плат расширения и мелких усовершенствований базовых плат

Сообщение  RN1TZ Чт Ноя 19 2020, 18:38

14
то речь точно о двоичном исчислении
Меня удивляют ваши без апелляционные высказывание.
Двоичное это счет от 0 до 1
Восмиричное счет от 0 до 8
Десятичное от 0 до 9
......
....
Шесдесятиричное от 0 до 59 (минуты, часы)

RN1TZ
Новичок

Сообщения : 36
Дата регистрации : 2018-06-29
Возраст : 55

Вернуться к началу Перейти вниз

Обсуждение желаемых новодельных плат расширения и мелких усовершенствований базовых плат Empty .

Сообщение  barsik Чт Ноя 19 2020, 18:50

15
Виктор, Ваша схема не заработает, т.к для DRAM нужны ещё адресные мультиплексоры.

И зачем изобретать велосипед, чем Вас схема регенератора Домрачёва не устроила? Всего лишь 14 TTL-корпусов. Или схема из Микро-80. Там правда 15 корпусов, но заменив две 155 ИЕ5 на одну 561 ИЕ10 будет также 14 корпусов.


Последний раз редактировалось: barsik (Чт Ноя 19 2020, 19:04), всего редактировалось 3 раз(а)
barsik
barsik
Ветеран

Сообщения : 1032
Дата регистрации : 2016-11-10
Откуда : Россия

Вернуться к началу Перейти вниз

Обсуждение желаемых новодельных плат расширения и мелких усовершенствований базовых плат Empty .

Сообщение  Viktor2312 Чт Ноя 19 2020, 18:51

16
RN1TZ

Звиняйте, но я кажется уже накушался, я что-то не то творю... Пошёл ка я спать...


для Барсик...

Я смотрю схема вас не заинтересовала, а жаль, там ещё если добавить ОЗУ, 8 микросхем, пару мультиплексоров, и буфер, типа АП5, вот и вся схема модуля динамического ОЗУ с прозрачной регенерацией, притом для CPU, он выглядит как обычная микросхема статического ОЗУ или ПЗУ-шка. То есть 9 МС + 8 МС РУ5 вот и всё. Ну да ладно...

Виктор, Ваша схема не заработает, т.к для DRAM нужны ещё адресные мультиплексоры. Зачем изобретать велосипед, чем Вас схема регенератора Домрачёва не устроила?

Эта схема уже работает, на практике, в "железе" и на заводской плате. Можно сопротивляться хоть до усёру, но вот жеж, оно работает.

И главное, куда ещё проще, а главное, зачем. ИР1, ТМ2, ИД20, и 3 шт ЛА3, всё, да 2 MX и буфер всёёёё... 9 микросхем. Не  считая само ОЗУ, что не нравится, жесть... Прозрачный доступ.

Всё, фильму и спать...Ну его нафиг, с вами мозг не перезагрузишь...

Viktor2312
RIP

Сообщения : 15492
Дата регистрации : 2012-08-10
Возраст : 45
Откуда : Пятигорск

Вернуться к началу Перейти вниз

Обсуждение желаемых новодельных плат расширения и мелких усовершенствований базовых плат Empty .

Сообщение  barsik Чт Ноя 19 2020, 19:19

17
Viktor2312 пишет:да 2 MX... 9 микросхем... жесть... Прозрачный доступ.
Прибавьте ещё 2 корпуса мультиплексоров - их (а точнее КП11 или КП2/КП12) должно быть 4 штуки (коммутация полуадресов на DRAM и между ША компьютера и счётчиком регенерации). И буфер 555 АП6 на магистраль (или ШД) посчитайте. А если ОЗУ на 565 РУ7, то понадобится ещё один мультиплексор. И иришин конвертор интерфейса (моторолловского в интелловский) и формирователь READY посчитайте.

Интересно, что Вы выиграли и за счёт чего? Принцип традиционной схемы не изменишь.

А я имел в виду вовсе не такую традиционную схему, а вариант на идее "CAS before RAS", это похоже может резко сократить число деталей.

PS. Для привыкших к жаргонным терминам килобайт и мегабайт, новоязные термины звучат непривычно, но лет через 30 все кому приятно запретное слово килобайт уже умрут, а слова кибибайт и мебибайт для следующего поколения будут звучать привычно и естественно.
barsik
barsik
Ветеран

Сообщения : 1032
Дата регистрации : 2016-11-10
Откуда : Россия

Вернуться к началу Перейти вниз

Обсуждение желаемых новодельных плат расширения и мелких усовершенствований базовых плат Empty .

Сообщение  Viktor2312 Чт Ноя 19 2020, 19:48

18
Я вообще вон поражаюсь с англосаксонского общества, у них лишний раз написать сообщение, считается зазорным, то есть нужно всё держать в себе и писать по минимум, если уж совсем... И в конце добавляют:
Apologies for the ping.

Не, одно могу сказать точно, они дауны и развиваются явно не в том направлении...

Прибавьте ещё 2 корпуса мультиплексоров - их (а точнее КП11 или КП2/КП12) должно быть 4 штуки (коммутация полуадресов по RAS и между ША компьютера и счётчиком регенерации).

Да протупил 4 МХ, но какая разница, схема есть, она рабочая, и меня устраивает полностью, и по качеству работы, а она работает идеально, как советские часы, то есть нет никаких нареканий, сбоев, глюков, или ещё чего либо. Так что тут я заморачиваться не собираюсь, может только в плане поменять К555 на КР1533, потребление в 2 раза меньше, помехозащищённость выше.


Интересно, что Вы выиграли и за счёт чего? Принцип-то традиционной схемы изменить нельзя.

Я не играю, схема стандартная, по справочнику, без изменений, почти.

А я имел в виду вовсе не такую традиционную схему, а вариант на идее "CAS before RAS", это похоже может резко сократить число деталей.

Ну попробуйте, меня количество деталей не беспокоит, купить с десяток микросхем проблем нет. Запаять тоже, на плате место полно. А если важна экономия, ПЛИС, решает все проблемы, одна микросхема, и там всё уместится, что душе угодно, просто выбираем соответствующию по ёмкости и быстродействию, но вот хватит ли мозгов, я после 7-й серии и её включительно, понял. Что мой мозг на это не способен, освоить, то ли стар, то ли глуп, а скорее всего и то и другое, но не тяну я тот объём информации, что требуется для корректной разработки на их основе.

PS. Для привыкших к жаргонным терминам килобайт и мегабайт, новоязные термины звучат непривычно, но лет через 30 все кому приятно запретное слово килобайт уже умрут, а слова кибибайт и мебибайт для следующего поколения будет звучать привычно и естественно.

Не факт, не факт, я вот племянников учу Килобайтам и байтам. 4 и 8 лет... будет вот вот, у меня 23-го, у мелкого 24 декабря, уж братик старался старался, но на 1 сутки промазал...

Кстати вот телек я не смотрю, ну вообще, зомбоящик, как и наши фильмы и сериалы, но всё же есть неплихие в куче этого говнища, из того, что мне понравилось, это "Бомба" и "Круговорит", если уж и не шедевры, то достойны внимания...

А я пошёл пожалуй пожарю яишницу, да да именно так я буду писать, а не так как положено по правилам, и не поймёшь что означающее слово получается, да с ветчиной и салатиком, под фильму, и баиньки... А завтра, не знаю, даже идти работать лень, но надо бы, посмотрим может на ПН. перенесу, если не позвонт... Всё мясо, фильма, отдых !

Viktor2312
RIP

Сообщения : 15492
Дата регистрации : 2012-08-10
Возраст : 45
Откуда : Пятигорск

Вернуться к началу Перейти вниз

Обсуждение желаемых новодельных плат расширения и мелких усовершенствований базовых плат Empty .

Сообщение  barsik Чт Ноя 19 2020, 20:36

19
Слово БИТ тоже вне закона и не рекомендуется к употреблению. Число битов следует выражать в миллибайтах, руководствуясь соотношением, что 1 бит = 125 миллибайтов. Так ниббл это 500 миллибайтов.
barsik
barsik
Ветеран

Сообщения : 1032
Дата регистрации : 2016-11-10
Откуда : Россия

Вернуться к началу Перейти вниз

Обсуждение желаемых новодельных плат расширения и мелких усовершенствований базовых плат Empty Re: Обсуждение желаемых новодельных плат расширения и мелких усовершенствований базовых плат

Сообщение  RN1TZ Чт Ноя 19 2020, 21:50

20
Обсуждение желаемых новодельных плат расширения и мелких усовершенствований базовых плат Ao_aao10
Из рисунка следует что вы пытаетесь всеми силами использовать первый способ.
Думаю что второй способ реализовать проще, а для третего способа нужна команда на регенерацию чтобы переключать  режим формирования сигналов строка столбец, помимо всего для третьего способа требуется 100мкс.
С применением КР580ВТ57 цыкл не более 15мкс из источника

Кроме формирования изображения на экране дисплея, БИС КР580ВГ75 выполняет в РК еще одну важную функцию: периодически обращаясь к ячейкам микросхем динамического ОЗУ компьютера в режиме прямого доступа к памяти (ПДП), она обеспечивает регенерацию записанной в него информации. Описываемый контроллер к ОЗУ не обращается, а необходимые для регенерации запросы ПДП искусственно формируются цепью R2C1VD1.

В исходном состоянии на выходе DAC2 (вывод 14) микросхемы контроллера ПДП КР580ИК57, находящейся на процессорной плате, и на соединенном с ним входе DACK (вывод 6 панели БИС D8) устанавливается высокий уровень, поэтому конденсатор С1 начинает заряжаться через резистор R2. Как только напряжение на нем, а следовательно, на выходе DRQ (вывод 5 панели БИС D8) и соединенном с ним входе DRQ2 (вывод 17) БИС КР580ИК57 достигает высокого уровня, контроллер принимает запрос и начинает цикл ПДП. На выходе DAC2 (вывод 14) при этом устанавливается низкий уровень, и конденсатор С1 разряжается через диод VD1. По окончании цикла ПДП на выходе DAC2 вновь устанавливается высокий уровень, и весь процесс повторяется вновь. Постоянная времени цепи R2CI выбрана таким образом, что циклы ПДП следуют с периодом около 15 мкс.

RN1TZ
Новичок

Сообщения : 36
Дата регистрации : 2018-06-29
Возраст : 55

Вернуться к началу Перейти вниз

Обсуждение желаемых новодельных плат расширения и мелких усовершенствований базовых плат Empty .

Сообщение  barsik Чт Ноя 19 2020, 23:17

21
Третий метод регенерации называемый "Self refresh" с потерей 100 мкс и удобен и вполне эффективен. Если из 8 мс теряются лишь 0.1 мс, то процессор теряет от общего времени прогона всего 1/80 времени, т.е всего 1.3%. А т.к это не рабочее оперативное ОЗУ, а лишь внешний эл.диск, то потери будут совсем крошечными и ими спокойно можно пренебречь. Кого взволнует, если чтение сектора продлится на долю процента дольше?

Да, в схеме из ж.Радио 5.1987 (статья: А.Долгий, Если нет КР580ВГ75), где описан частичный клон РК86 с реализацией дисплея без ВГ75 на мелкой TTL-логике, ПДП ВТ57 так использовали для целей регенерации. Идея регенерации там прозрачно ясна, а вот саму схему той переделки, чтобы лучше понять, было бы полезно нормально перечертить и обдумать. Интерес этой концепции в том, что дисплейная часть там отделена от МП-ядра буфером и КП11-ми, что я как-раз предлагал сделать на ВГ75 (чтобы циклы ПДП не останавливали процессор, что при базовом клоке 1.77 МГЦ ускоряет на 30%).

И всё-же, хотя бы из спортивного интереса идея регенератора DRAM на Z80 интересна, т.к ранее такого, кажется, никто не делал.

Можно во время доступа к ОЗУ внешнего CPU обойтись и без отключения Z80 из шины DRAM за счёт DMA как-то используя тот факт, что период активности /RFSH происходит лишь в одном такте из четырёх. Т.е, если в этом такте идёт доступ в ОЗУ для регенерации, то следующий маш.такт точно свободен и в него в ОЗУ может произвести доступ другой (внешний) процессор.

Т.о можно защёлкивать клоком Z80 сигнал /RFSH в триггере (или просто взводить триггер его фронтом 0-->1) и следующий маш.такт отдавать для доступа внешнему процессору. Но при этом клок Z80 и клок внешнего процессора д.быть одним и тем же сигналом или быть кратно-синхронны. Идея сработает, если в режиме HALT процессор Z80 при неактивных /RFSH и /RD держит шины данных и адреса в Z-состоянии. Это можно узнать экспериментально сделав клок Z80 в 500 ГЦ (на низких частотах легко и приятно смотреть сигналы на осцилографе).

Встречал упоминания, что два процессора 6502 или 6800 включали тандемом (т.е с доступом к общему ОЗУ), т.к у них это удобно (у этих процессоров из двух смежных маш.тактов один всегда пустой). Но 8080/Z80 такого свойства нет и тандемом их вроде ещё не включали.
barsik
barsik
Ветеран

Сообщения : 1032
Дата регистрации : 2016-11-10
Откуда : Россия

Вернуться к началу Перейти вниз

Обсуждение желаемых новодельных плат расширения и мелких усовершенствований базовых плат Empty Re: Обсуждение желаемых новодельных плат расширения и мелких усовершенствований базовых плат

Сообщение  RN1TZ Пт Ноя 20 2020, 01:29

22
Именно для этого режима всё есть.
Обсуждение желаемых новодельных плат расширения и мелких усовершенствований базовых плат _u10
Инвертируем сигнал Синхр это будет (CAS) С2 это будет (RAS) и завершить процесс регенерации по переднему фронту С1. Процесс регенерации должен действовать только при наличии сигнала Синхр, а в неактивном состоянии Синхр обычный обмен данными. Так же под воздействием Синхр можно применить и первый способ ну добавить всякого там счетчик и.д.

Тогда в начале каждого машинного цыкла будет происходить регенерацыя памяти.

RN1TZ
Новичок

Сообщения : 36
Дата регистрации : 2018-06-29
Возраст : 55

Вернуться к началу Перейти вниз

Обсуждение желаемых новодельных плат расширения и мелких усовершенствований базовых плат Empty .

Сообщение  barsik Пт Ноя 20 2020, 03:40

23
RN1TZ пишет:Именно для этого режима всё есть.
Речь видимо о режиме "CAS before RAS". Да, похоже, Вы верно подметили, что используя инвертированный SYNC и Ф2 в качестве CAS-RAS получится вставлять циклы "CAS before RAS" в моменты выдачи SYNC и поиметь прозрачную регенерация ОЗУ при КР580. Виктор мог бы это использовать, если бы имел SIMM-30 на 1 мебибайт. К сожалению, он тащится от антикварных 565 РУ5, у которых такого режима нет.

А при основном процессоре Z80 регенерация на 7 битов есть своя. В случае периферийных плат для ИРИШИ достаточно выводить сигнал /RFSH на магистраль и на платах расширения ОЗУ на DRAM формировать из него /RAS и принять меры к формированию недостающих битов регенерации (т.е от 561 ИЕ10 никуда не уйти). А если плат ОЗУ не одна, то надо принять меры, чтобы при /RFSH=0 формировались бы /RAS на всех этих платах, но магистральные буфера всех этих плат ОЗУ оставались бы закрыты.
barsik
barsik
Ветеран

Сообщения : 1032
Дата регистрации : 2016-11-10
Откуда : Россия

Вернуться к началу Перейти вниз

Обсуждение желаемых новодельных плат расширения и мелких усовершенствований базовых плат Empty Re: Обсуждение желаемых новодельных плат расширения и мелких усовершенствований базовых плат

Сообщение  RN1TZ Пт Ноя 20 2020, 08:04

24
Сигнал Sync через буферный инвертор на внешнею магистраль чем вам не /RFSH.
И будет вам счастье, и на внешних модулях (на каждом) по 74LS600, 74LS601.

P.S. И МКГД на одной микросхеме КА1835ВГ10 Описалово только вот где найти, и микруху и подробное описание.

RN1TZ
Новичок

Сообщения : 36
Дата регистрации : 2018-06-29
Возраст : 55

Вернуться к началу Перейти вниз

Обсуждение желаемых новодельных плат расширения и мелких усовершенствований базовых плат Empty .

Сообщение  Viktor2312 Пт Ноя 20 2020, 13:45

25
и поиметь прозрачную регенерация ОЗУ при КР580. Виктор мог бы это использовать, если бы имел SIMM-30 на 1 мебибайт. К сожалению, он тащится от антикварных 565 РУ5, у которых такого режима нет.

Я уже дал схему с прозрачной регенерацией, которая не заметна для микропроцессора. И реализуется всего на 10 микросхемах, если учитывать само ОЗУ, то 18 мелких микросхем, да и ещё 2 инвертора остаются свободными, то есть по сути 9,5 микросхем + 8 МС ОЗУ. Мне лично её достаточно, и она работает и проверена в "железе", дальше не вижу смысла что-то выдумлять.

Притом таких блочков в систему по 64 Кбайта можно добавлять, неограниченное количество.

Viktor2312
RIP

Сообщения : 15492
Дата регистрации : 2012-08-10
Возраст : 45
Откуда : Пятигорск

Вернуться к началу Перейти вниз

Страница 1 из 2 1, 2  Следующий

Вернуться к началу

- Похожие темы

 
Права доступа к этому форуму:
Вы не можете отвечать на сообщения