RUЭВМ
Вы хотите отреагировать на этот пост ? Создайте аккаунт всего в несколько кликов или войдите на форум.
Апрель 2024
ПнВтСрЧтПтСбВс
1234567
891011121314
15161718192021
22232425262728
2930     

Календарь Календарь

Последние темы
» Вити больше нет!
автор bug19 Пн Фев 20 2023, 19:54

» Собираем оригинальный Орион 128
автор bug19 Пн Фев 20 2023, 19:47

» Проблема плющеного экрана ОРИОНА
автор kanzler Пн Ноя 28 2022, 12:05

» Орион 128 и его клоны возрождение 2019-2022 год
автор kanzler Пн Ноя 28 2022, 12:03

» Электроника КР-04. Информация, документы, фото.
автор kanzler Пн Ноя 28 2022, 12:02

» Новости форума
автор kanzler Пн Ноя 28 2022, 11:52

» Орион-128 НГМД запуск 2021 года
автор matrixplus Сб Сен 10 2022, 17:36

» ПЗУ F800 для РК86
автор ведущий_специалист Сб Сен 10 2022, 10:37

» Микропроцессорная лаборатория "Микролаб К580ИК80", УМК-80, УМПК-80 и др.
автор Электротехник Вт Июл 26 2022, 19:33

» Орион-128 SD карта в Орионе
автор matrixplus Чт Июн 02 2022, 09:00

» 7 Мая. День Радио!
автор Viktor2312 Чт Май 12 2022, 10:58

» Серия: Массовая радио библиотека. МРБ
автор Viktor2312 Ср Май 11 2022, 12:17

» Полезные книги
автор Viktor2312 Пн Май 09 2022, 15:07

» Орион 128 Стандарты портов и системной шины Х2
автор matrixplus Вс Май 08 2022, 23:08

» Орион-128 и Орион ПРО еще раз про блоки питания
автор matrixplus Вс Май 08 2022, 19:09

» Орион-128 Программаторы
автор matrixplus Вс Май 08 2022, 19:02

» Орион ПРО история сборки 2021 до 2022
автор matrixplus Вс Май 08 2022, 18:47

» Анонсы монет (New coin).
автор Viktor2312 Сб Май 07 2022, 23:11

» Хочу свой усилок для квартиры собрать не спеша
автор Viktor2312 Сб Май 07 2022, 19:33

» Амфитон 25у-002С
автор Viktor2312 Сб Май 07 2022, 09:38

» Майнер: T-Rex
автор Viktor2312 Вс Май 01 2022, 09:12

» GoWin. Изучение документации. SUG100-2.6E_Gowin Software User Guide. Среда разработки EDA.
автор Viktor2312 Пн Апр 25 2022, 01:01

» GoWin. Изучение документации. UG286-1.9.1E Gowin Clock User Guide.
автор Viktor2312 Сб Апр 23 2022, 18:22

» GoWin. Documentation Database. Device. GW2A.
автор Viktor2312 Ср Апр 20 2022, 14:08

» GOWIN AEC IP
автор Viktor2312 Ср Апр 20 2022, 12:08

Самые активные пользователи за месяц
Нет пользователей

Поиск
 
 

Результаты :
 


Rechercher Расширенный поиск


Изучаем основы VHDL, ISE, ПЛИС Xilinx. Старые семейства.

Перейти вниз

Изучаем основы VHDL, ISE, ПЛИС Xilinx. Старые семейства. Empty Изучаем основы VHDL, ISE, ПЛИС Xilinx. Старые семейства.

Сообщение  Viktor2312 Вт Авг 21 2018, 08:07

1
.

Изучаем основы VHDL, ISE, ПЛИС Xilinx. Старые семейства.


____Развитие микросхем ПЛИС фирмы Xilinx движется с огромной скоростью и поспевать за ним не только сложно, но порой и не возможно. Поэтому для глубокого изучения данной технологии важно заложить хороший фундамент. А для этого не обязательно гнаться за последними разработками. Во-первых на самые последние модели очень мало хорошей литературы с подробным описанием, особенно на русском языке, во-вторых очень много новшевств, которые необходимо изучать с нуля, а объём информации, который необходимо освоить соизмерим с тем, который был получен до этого. Поэтому лучше остановиться, и изучить тот объём информации, который доступен на данный момент и который хорошо и детально представлен.


Введение.

____ПЛИС или программируемые логические интегральные схемы представляют одно из самых интересных и быстро развивающихся направления современной цифровой микроэлектроники. И как показали последние, например, десять лет, так оно и есть, существенно улучшились характеристики, пройдено много технологических процессов с 90 нм до 16 нм и т. д. И прогнозы в этой области на ближайшее время представляются самыми оптимистичными.
____С появлением микросхем ПЛИС проектирование цифровых микросхем перестало быть уделом исключительно крупных предприятий с объёмами выпуска в десятки и сотни тысяч, а порой и миллионы кристаллов. Проектирование и выпуск небольшой партии уникальных цифровых устройств стал возможен в условиях проектно-конструкторских подразделений промышленных предприятий, в исследовательских и учебных лабораториях и даже в условиях домашних радиолюбительских рабочих мест. Промышленно выпускаемые "заготовки" программируемых микросхем с электрическим программированием и автоматизированным процессом перевода схемы пользователя в последовательность импульсов программирования делают проектирование новых цифровых устройств сравнимым с разработкой программного обеспечения.
____В настоящее время ведущим мировым производителем микросхем ПЛИС является фирма Xilinx, про Altera можно забыть её "скушал" Intel. Фирма Xilinx выпускает целый спектр продукции, включая микросхемы ПЛИС с различной архитектурой и выполненные по разным тех. процессам, Flash-ПЗУ для хранения конфигурации, САПР, средства программирования и отладки. Немаловажным является тот факт, что САПР минимальной конфигурации распространяется бесплатно, а её возможности вполне достаточны для освоения данной технологии и разработки цифровых устройств различного уровня сложности.


Проектирование.

____Маршрут проектирования микросхем ПЛИС в последнее время претерпел и продолжает претерпевать существенные изменения. Если предыдущее поколение САПР ориентировалось преимущественно на графический ввод принципиальной схемы, текущие и новые версии САПР фирмы Xilinx предоставляют богатые возможности к описанию проекта пользователя на так называемых языках описания аппаратуры (Hardware Description Languages, HDL). Эти языки приближены к обычным алгоритмическим языкам программирования и делают возможным быстрое освоение технологии проектирования ПЛИС разработчиками с разным уровнем начальной подготовки. Скорость трансляции проектов и эффективность алгоритмов синтеза конфигурации ПЛИС постоянно повышаются, делая доступным разработку устройств объёмом несколько миллионов логических вентилей на обычной рабочей станции на базе ПК.


Обзор микросхем программируемой логики фирмы Xilinx.


Начальные сведения о микросхемах ПЛИС.

____Микросхемы программируемой логики, или ПЛИС (программируемые логические интегральные схемы) - одно из наиболее динамично развивающихся направлений современной цифровой электроники. Привлекательность данной технологии заключается в предоставляемой конечному пользователю возможности быстрого создания цифровых устройств с произвольной внутренней структурой. По сравнению со специализированными цифровыми микросхемами (Application Specific Integral Circuit, ASIC), цикл разработки устройств на микросхемах ПЛИС занимает значительно меньшее время и гораздо дешевле (благодаря тому, что изменение принципиальной электрической схемы выполняется путём перепрограммирования одного и того же экземпляра микросхемы).
____Простейшим видом программируемого логического устройства является обычная микросхема ПЗУ (Read-Only Memory, ROM). Действительно, обладая N адресными линиями и М линиями данных, микросхема ПЗУ может реализовать М N-входных логических функций. Содержимое ПЗУ может рассматриваться как таблица истинности некоторой цифровой схемы, содержащей комбинаторную логику. Использование микросхем ПЗУ в качестве логических генераторов долгое время являлось эффективным приёмом, позволяющим резко снизить число корпусов микросхем, выполнявших элементарные логические операции. Программируемые устройства подобного типа называются PAL (Programmable Array of Logic).
____Недостатком PAL-устройств является отсутствие в их составе триггеров. Несмотря на то, что RS-триггер может быть реализован с использованием базиса Пирса или Шеффера (т. е. с применением только комбинаторных логических устройств), очевидно, что такое использование ресурсов весьма непродуктивно, поскольку вместо N-входовых применяются только 2-входовые логические элементы.
____Очевидным шагом к более эффективному использованию логических ресурсов является введение в состав программируемой микросхемы триггеров. Однако в этом случае, кроме программирования таблиц истинности логических элементов, необходимо реализовать также внутренние соединения между выходами логических элементов и входами триггеров. Таким образом, вместо металлических соединений, реализуемых в процессе производства ASIC в ПЛИС используются соединения, коммутируемые программируемыми ключами. Для нормального функционирования этих соединений в ПЛИС существует теневая (конфигурационная) память, хранящая таблицу соединений.
____В настоящее время наиболее распространённые серии микросхем ПЛИС имеют архитектуру FPGA (Field Programmable Gate Array), устройства, использующие для хранения конфигурации энергозависимую память, которая требует инициализации после включения питания.


Устройства с архитектурой FPGA.

____Основным элементом FPGA фирмы Xilinx является конфигурируемый логический блок (CLB). Структура CLB микросхем ПЛИС семейства Spartan приведена на рисунке ниже:

Изучаем основы VHDL, ISE, ПЛИС Xilinx. Старые семейства. E_000110

____В состав базовой логической ячейки микросхем FPGA входят два триггера и используются 4 входовые логические генераторы, обозначенные на схеме LUT (Look-Up Table, таблица истинности). В более современных версиях микросхем ПЛИС всё намного сложнее и элементы LUT 6 входовые, но в данной теме мы это не рассматриваем.
____Приведённая структура и её свойства отражают основные особенности FPGA. Поскольку в составе таких ПЛИС существует Flash-память для хранения конфигурации, все таблицы истинности логических элементов должны быть занесены в обычную статическую память. Её фрагментами и являются LUT, которые представляют собой блоки памяти с организацией 16х1. Это позволяет реализовать таблицу истинности любого 4 входового логического элемента. Добавление ещё одного входа к каждому логическому генератору означает удвоение объёма той части конфигурационной памяти, которая отвечает за хранение таблиц истинности. Наличие двух триггеров в составе CLB позволяет реализовывать на базе микросхем FPGA более сложные устройства, насыщенные регистрами, счётчиками, и тому подобными узлами.
____Следует отметить также, что, являясь по своей сути фрагментом памяти, каждая LUT может быть использована как оперативное запоминающее устройство ОЗУ с организацией 16х1 или как 16-разрядный сдвиговый регистр. Это даёт возможность использовать в проектах небольшие по объёму блоки распределённой по кристаллу памяти. В семействе Spartan можно выбрать количество портов (обычная или двупортовая память) и тип интерфейса (синхронный либо асинхронный). В последующих семействах FPGA фирмы Xilinx асинхронный интерфейс распределённой памяти не поддерживается.
____На рисунке ниже представлен пример, расположения элементов на кристалле, типичный для технологии FPGA в семействе Spartan:

Изучаем основы VHDL, ISE, ПЛИС Xilinx. Старые семейства. E_000210

____Микросхема ПЛИС, выполненная по технологии FPGA, состоит из прямоугольной матрицы конфигурируемых логических блоков (CLB), окружённых блоками ввода-вывода (Input/Output Block, IOB). Между CLB располагаются программируемые трассировочные линии (обозначенные на схеме как Routing Channels). Отдельные CLB не имеют индивидуальных выходов, соединённых с внешними выводами ПЛИС. Вместо этого функции преобразования сигналов выполняют выделенные ресурсы - IOB. Между матрицей CLB и блоками ввода-вывода имеются отдельные межсоединения, которые и обеспечивают подключение внешних сигналов. Такой подход весьма актуален из-за большой логической ёмкости микросхем FPGA. Действительно, попытка обеспечить индивидуальное соединение с выводами корпуса для большого количества логических ячеек требует чрезмерного количества трассировочных ресурсов, в то же время число пользовательских выводов даже в корпусах максимального размера находится в пределах одной тысячи. Таким образом, наличие отдельного набора блоков ввода-вывода, соединённых с основной матрицей логических блоков, соответствует общей идеологии построения программируемых цифровых элементов.
____Реализация элементов электрического интерфейса в отдельном блоке даёт ещё одно весьма важное преимущество. Так как блок ввода-вывода FPGA, представляет собой отдельный элемент кристалла, то он обладает существенно большими возможностями. Современные семейства ПЛИС поддерживают до 20 электрических интерфейсов (включая ТТЛ, CMOS со множеством разновидностей, а также высокоскоростные дифференциальные интерфейсы, такие как, LVDS, LVPECL и др.). Тип интерфейса, реализуемый в каждом блоке, может быть установлен индивидуально. При этом информация о реализуемом блоком протоколе содержится в конфигурационной памяти и может быть задана в процессе проектирования устройства на базе ПЛИС.
____Архитектура с раздельным расположением основных логических ресурсов и блоков ввода-вывода создаёт и некоторые трудности при создании топологии внутренних соединений. Трудно добиться 100% использования макроячеек, это связано с тем, что выбранное алгоритмами трассировки кристалла взаимное расположение отдельных узлов проекта внутри ПЛИС может оказаться неудобным для последующего соединения их с блоками ввода-вывода (например, если с внешним выводом микросхемы должен быть соединён один из блоков, расположенных на противоположной стороне матрицы CLB). Для семейства Spartan можно ожидать успешной трассировки относительно сложных проектов вплоть до 60-70%-ного использования CLB.
____Данная проблема может быть решена путём переназначения внешних выводов ПЛИС, однако такое решение не всегда можно считать удачным, поскольку оно нивелирует одно из важных преимуществ данной технологии - возможность изменения внутренней структуры цифрового устройства без изменения топологии печатной платы. Поэтому с методологической точки зрения правильнее было бы отталкиваться от взаимного расположения электронных компонентов на плате и добиваться наилучшей топологии печатных проводников, адаптируя внутреннюю структуру ПЛИС под требуемое расположение её выводов. В рамках этого подхода наблюдается тенденция к увеличению количества трассировочных ресурсов вообще и к усложнению выделенных ресурсов, соединяющих матрицу CLB с блоками ввода-вывода. Для приведённого в качестве примера семейства Spartan такими ресурсами являются VersaRing Routing Channels - трассировочные линии окружающие матрицу CLB.
____На рисунке ниже показана структура микросхемы Spartan-II  - дальнейшего развития серии Spartan.

Изучаем основы VHDL, ISE, ПЛИС Xilinx. Старые семейства. E_000310

____Прежде всего, к стандартному для предыдущих серий FPGA набору CLB + IOB добавлена блочная память (Block RAM). Для семейства Spartan-II она составляет 16 - 56 Кбит и представлена блоками синхронной двупортовой памяти объёмом 4 096 бит, которые могут быть сконфигурированы с разрядностью шины данных 1, 2, 4, 8, 16 бит. Использование этой памяти никак не затрагивает основную матрицу CLB, в то время как реализация устройств памяти на базе LUT иногда делает невозможным использование триггеров, входящих в состав соответствующих CLB.
____Интересной возможностью является использование блочной памяти в качестве мощных блоков комбинаторной логики (эта опция трассировки доступна в последних версиях САПР). Подобным образом достигается максимальная гибкость в выборе методологии проектирования, поскольку одна и та же логическая структура может быть реализована целым рядом способов и разработчик имеет возможность выбрать наиболее подходящий с учётом задействованных ресурсов ПЛИС, получаемого быстродействия и т. п.
____В состав ПЛИС Spartan-II введены также блоки распределения тактовых сигналов DLL (Delay Locked Loop). Эти блоки, показанные на схеме в углах кристалла, обеспечивают одновременную подачу тактового сигнала на все триггеры матрицы CLB, используя для этого выделенные трассировочные линии. Подобным образом минимизируются задержки распространения глобального для проекта сигнала, что актуально для высокоскоростных устройств.
____Кроме того, по сравнению с семействами Spartan/Spartan-XL устройства Spartan-II содержат большее количество локальных трассировочных ресурсов, более мощную структуру VersaRing и обеспечивают поддержку дифференциальных электрических интерфейсов.
____Наконец, в семействе Spartan-3 добавлены аппаратные устройства быстрого перемножения независимых 18-разрядных операндов. Эти устройства аналогичны выделенным ресурсам высокопроизводительных микросхем ПЛИС Virtex и Virtex-II Pro. Умножители расположены вблизи отдельных блоков выделенной памяти и позволяют с минимальными аппаратными затратами выполнять операцию "умножение с накоплением", при этом блочная память выступает в роли устройства хранения коэффициентов цифрового фильтра. Такая возможность выводит ПЛИС на совершенно новый уровень в области цифровой обработки сигналов.
____Рассмотренные отличия между двумя последовательно появившимися в своём классе семействами микросхем ПЛИС позволяют выделить некоторые тенденции в развитии архитектур микросхем программируемой логики:

  • увеличение функциональности логических блоков ПЛИС с одновременным введением в кристалл выделенных ресурсов для решения типичных задач цифровой обработки сигналов;

  • увеличение трассировочных ресурсов ПЛИС, в том числе ввод в её состав выделенных линий для наиболее эффективного решения задач распространения критических сигналов;

  • повышение тактовой частоты системы, в том числе за счёт более эффективного распространения по кристаллу тактового сигнала с помощью блоков DLL и отказа от использования асинхронного интерфейса памяти;

  • увеличение количества поддерживаемых блоками ввода-вывода IOB электрических интерфейсов;

  • переход к новым техпроцессам изготовления ПЛИС с уменьшением технологического допуска и увеличением количества слоёв металлизации, понижение напряжения питания ядра и соответствующее снижение стоимости микросхемы ПЛИС.

____Кроме того, для всех семейств ПЛИС фирмы Xilinx наблюдается тенденция к увеличению объёма блочной памяти, размещаемой на кристалле. В сочетании с объёмом матрицы CLB это даёт возможность реализовывать на базе ПЛИС микропроцессорные устройства, использующие блочную память для хранения команд. На том же кристалле могут быть размещены и цифровые интерфейсы внешних устройств, что минимизирует количество микросхем, требуемых для построения микропроцессорной системы управления, и соответствует современному подходу "система на кристалле" (System On Chip, SoC). Одно из наиболее современных семейств ПЛИС - Virtex-II Pro содержит в себе до двух реализованных аппаратно ядер процессора PowerPC, которые могут быть использованы в проекте на ровне с прочими логическими ресурсами.
____Наиболее перспективными семействами FPGA фирмы Xilinx являются микросхемы ПЛИС серий Spartan и Virtex. Первая из серий включает в себя недорогие устройства общего назначения, пригодные для решения широкого класса задач цифровой обработки сигналов. Серия Virtex обладает несколько более широкими возможностями за счёт более развитой структуры логических блоков, обеспечивает несколько большие рабочие частоты по сравнению с ПЛИС Spartan, но и имеет более высокую стоимость в пересчёте на один логический вентиль.
____Обе серии Spartan и Virtex, развиваются фирмой Xilinx параллельно. В практике проектирования цифровых устройств общего назначения различия между этими устройствами на самом деле не являются принципиальными, и микросхемы ПЛИС серии Virtex можно рекомендовать только в тех случаях, когда особенности их внутренней структуры являются определяющими для успешной реализации проекта.
____На диаграммах представленных ниже, можно проследить динамику развития FPGA:

Изучаем основы VHDL, ISE, ПЛИС Xilinx. Старые семейства. E_000410

Изучаем основы VHDL, ISE, ПЛИС Xilinx. Старые семейства. E_000510

____Максимально допустимое входное напряжение НЕ соответствует напряжению питания схем ввода-вывода. Только серия Spartan использует полностью 5-вольтовое питание, для всех последующих серий напряжение питания схем ввода-вывода составляет 3,3 В (или менее). При этом семейства Spartan-XL и Spartan-II используют входные структуры, аналогичные зенеровским диодам и на их входы может быть подано напряжение 5 В. Для остальных семейств (и для всех устройств Virtex) требуется ограничение входного тока уровнем 10 мА (последовательно включённым сопротивлением не менее 120 Ом для совместимости с напряжением 5 В).
____Микросхемы ПЛИС Virtex являются более сложными устройствами по сравнению с относительно дешёвой серией Spartan. В настоящее время существует целый ряд семейств ПЛИС FPGA развивающих базовую серию: Virtex, Virtex-E (Virtex-EM), Virtex-II, Virtex-II Pro и т. д. На диаграмме ниже в обозначении устройств семейства Virtex-II Pro используется оценка по количеству логических элементов (например, V2P100 имеет 99 216 логических ячеек). Максимальная логическая ёмкость в эквивалентных вентилях приведена на диаграмме для справки.

Изучаем основы VHDL, ISE, ПЛИС Xilinx. Старые семейства. E_000610

____Тенденция к последовательному улучшению характеристик микросхем ПЛИС в конечном итоге создала ситуацию, когда "время жизни" отдельного семейства существенно сокращается. Такая относительно быстрая смена поколений ПЛИС на первый взгляд ставит под вопрос обоснованность их применения в повседневной практике разработки цифровых устройств. Однако здесь можно указать на то, что логические объёмы в сотни тысяч и миллионы вентилей уже сейчас заставляют применять современные методики проектирования, основанные на использовании языков описания аппаратуры (например, VHDL). Такие описания позволяют в первую очередь получить абстрагированное от конкретного семейства ПЛИС описание цифрового устройства. Впоследствии при появлении семейства с увеличенным быстродействием, меньшей ценой и т. д. можно будет оперативно произвести переход на новую элементную базу. Таким образом, порядок разработки устройства на базе ПЛИС подразумевает определённую мобильность, однако позволяет оперативно осваивать самую современную элементную базу, в короткие сроки получая максимальную выгоду от её использования.


Продолжение в следующем посте...

Viktor2312
RIP

Сообщения : 15492
Дата регистрации : 2012-08-10
Возраст : 45
Откуда : Пятигорск

Вернуться к началу Перейти вниз

Вернуться к началу

- Похожие темы

 
Права доступа к этому форуму:
Вы не можете отвечать на сообщения